Building flexibility into electronic design of ultrasound systems

Rob Reeder, Analog Devices

31 May 2010

In today’s electronics marketplace, portability and performance are key metrics for system designers. Portability pushes the boundaries of applications to satisfy the desire for complex tools that are consumer 'pocketable', while performance needs dictate the dynamic range of the overall system.

Higher dynamic range, or lower noise, provides higher quality sound or images that allow for better results. Providing end-users with high-performance, portable applications places increased demands on the system designer and on the components used within the system.

This article reviews the up-front considerations that must be addressed to offer portable, performance-based products that provide system designers with the flexibility required to bring new products to this global market.

For many years, manufacturers implemented these complicated systems by designing their own custom ASICs. This solution usually consisted of two ASICs that encompassed most of the TGC and Rx/Tx paths, as shown in Figure 1 below.

Figure 1. Flow diagram of the ASIC approach

Figure 1. The ASIC approach

This approach was common before multichannel VGAs, ADCs, and DACs became widely available. The custom circuits, which allowed designers to incorporate low-cost, flexible functions, provided cost savings over time because incorporating much of the signal chain minimized the number of external components. Unfortunately, as time went on the technology available in these lithographies showed its age in both scale and power consumption. ASICs have a high number of gates, but their digital technology is not optimized to successfully implement analogue functions such as high performance ADCs. ASICs also paint the system designer into a corner due to the limited number of suppliers.

Although high-performance imaging can be achieved using this partitioning method, it is not optimal in terms of portability, size, and power consumption. The advent of quad and octal TGCs, ADCs, and DACs allowed both the size and power to be reduced without compromising performance, bringing new system approaches and new players into these markets.

Multichannel components allow the designer to put devices closer together, increasing the number of channels in the system; they also allow the designer to divide the sensitive circuits between two or more boards to complete the system. This allows for effective reuse of the electronic circuits over many platform developments.

As a side note: as channel counts increase, this leads to dynamic range improvement. Effectively, noise can be treated as uncorrelated in the system. By doubling the number of channels in a system the noise is halved and the dynamic range increases by 3 dB. Therefore, a 64-channel system can add as much as 6-dB improvement in dynamic range over a 16 channel system.

Today, IC manufacturers are able to integrate complete multichannel TGC paths, as shown in Figure 2 below. Multichannel, multi-component integration makes the design approach easier, reducing PCB size and power dissipation without sacrificing performance. As higher level integration schemes become more predominant, advantages once again follow in cost, size, and power reduction, leading to less heat in the system and longer battery life.

Flow diagram showing commonly sought integrations

Figure 2. Commonly sought multi-component integrations.

Ultrasound system design

A good example of an application where this is used is in ultrasound subsystems such as Analog Devices’ AD9272/AD9273, which integrates the LNA/VGA/AAF/ADC and crosspoint switch, implements the complete time-gain compression (TGC) path, the most common receiver path found in an ultrasound system.

The two devices offer the system designer the flexibility to trade performance for power consumption: the high-performance AD9272 features low noise (0.75nV/rt-Hz), while the low-power AD9273 consumes only 100 mW per entire TGC channel at 40 MSPS. The pin compatible-devices employ serial I/O to keep the pin count low. Housed in a compact, 14-mm × 14-mm × 1.2-mm package, they reduce per-channel area and power dissipation by more than 33% as compared to multi-chip solutions.

Most ultrasound companies acknowledge that their intellectual property (IP) lies within the probe and beamformer technology. Multichannel ICs are quickly becoming commodity devices, putting an end to high cost components and the endless tweaking and optimization of individual TGC path to complete the system and get that extra bit of performance or savings in power.

Other portions of the ultrasound system are being considered for further integration; studies have shown that if the front-end electronics were closer to the probe, less probe loss and better signal sensitivity would occur, allowing system designers to relax the requirements on the front-end components (LNA/VGA). Integration of these portions of the signal chain might prove beneficial.

Practically speaking, the AD9272/9273 offers doctors and medical practitioners the opportunity to use devices that make more accurate diagnoses available, much sooner. The portability of the device enables it to be used in ambulances, field hospitals, clinics, rescue crews and doctors’ offices, as well as enabling bedside imaging for patients. Crucially, it also allows for quicker results, at much lower costs. Moreover, it is also able to offer improved image quality, reliability and a much longer battery life.

With so many applications becoming realised in ultrasound, the demand for both performance and portability is high. Performance driven applications, such as cardiology and 4-D image processing, contain the largest number of channels, features, and options. Power is not a driving factor because these systems are used at the patient’s bedside, operating room suite, or nurses’ triage, but performance is key as these systems are used for human diagnoses.

Portable ultrasound offers a different array of application opportunities, especially in locations where reliable electrical power is scarce or does not exist, such as remote village clinics, emergency medical services, animal farming, bridges, and large machinery inspections.

Example of portable ultrasound systems

Figure 3. Portable ultrasound systems used for large animal farming and breeding. *S*System photo courtesy of E.I. Medical Imaging

Ultrasound systems can generally be split into three classes: high-end, mid-range, and low-end. High-end ultrasound systems are at the forefront of the most recent technology and market features, produce the best images, and are more expensive. Mid-range systems generally have a sub-set of the features that a high-end ultrasound system offers without much sacrifice in image quality. Low-end systems are scaled down even further and, in some cases, serve a particular application, be it clinical or otherwise. As technology advancements are made, trends show the low-end systems are beginning to catch up on the image quality, making diagnoses precise, noninvasive, and timely.

Ultrasound covers a wide range of varying applications, so the tradeoffs a system designer must make have increased. Each modality has limitations that are defined generally by performance vs. power. Today these challenges have been met with components that allow the designer to scale the performance vs. power ratio within the IC, thus cutting down on time to market. The AD927x from Analog Devices offers a host of configurability within the IC to scale input range, bias, sample rate, and gain. Depending on which imaging modality or probe type is required, the system designer can literally system-scale the design appropriately in real-time, offering the maximum performance at minimum power.

In a typical application, images from a 5-MHz probe producing a 0.5-Vpp signal are to be acquired. If the LNA that has 0.86-nV/rt-Hz of noise, or 1.4-nV/rt-Hz for the entire channel, is source terminated with 50 ohms, the input dynamic range of the system would be 92 dB, producing a noise figure (NF) of 3.8 dB. This translates to an output dynamic range of 66.3 dB, allowing the system designer to maintain optimum performance while only dissipating 191 mW/channel at 40MSPS.

If the system performance exceeded expectations, the system designer might decide that a 2-dB reduction in input dynamic range could be justified in the system if 50 mW channel could be saved. The system designer could experiment with gain, bias, termination, and other parameters to see if this is feasible, but changing all these metrics to understand the system tradeoffs can be difficult.

A configuration tool, such as the one Analog Devices makes for its AD927x family, makes it convenient for the system designer to evaluate performance, as shown in Figure 4 below. Here, all the features have been put in place, allowing the system designer to make these tradeoffs quickly and system scaling to be pushed down into the IC level directly. This alleviates the designer from changing real hardware and producing cumbersome image processing tests to validate these tradeoffs. Furthermore, the configuration tool will translate the optimized configuration parameters to digital settings and generate a file that can replicate the part final configuration setup for the system.

Flow diagran for a medical ultrasound configuration tool

Figure 4. AD9272/9273 medical ultrasound configuration tool for an integrated Rx Channel

Advances in integrated multichannel devices are pushing system flexibility even further today. New innovative products and configuration tools make the system designer’s life easier without a doubt. This provides a means of developing diversified ultrasound products that are configurable and scalable, depending on the imaging modality, when it comes to performance vs. power.

Rob Reeder, senior converter applications engineer, Analog Devices

Rob Reeder is a senior converter applications engineer working in the high-speed signal processing group at Analog Devices in Greensboro, NC. Rob has been published numerous papers and articles about applying converters, converter testing, and converter applications. Rob Reeder can be reached at rob.reeder@analog.com.<.

Acknowledgement

The author would like to give a special thanks to our product development engineer, Gina Kelso, for creating the AD927x family configuration tool and gui.

References

1. Brunner, Eberhard, “How Ultrasound System Consideration Influence Front-End Component Choice,” Analog Dialogue 36, Part 1 (2002).

2. Kisslo, Joseph A. and David B. Adams, Principles of Doppler Echocardiography and the Doppler Examination #1, London: Ciba-geigy, 1987

3. Kuijpers, F. A., “The role of technology in future Medical Imaging,” Medicamundi, 1995, Vol. 40, No. 3, Philips Medical Systems.

4. Bandes, Alan, “How Are Your Bearings Holding Up? Find Out with Ultrasound,” Sensors Magazine, July, 2006, pp. 24-27.

5. Meire, Hylton B. and Pat Farrant, Basic Ultrasound, Wiley, 1995, pp. 1-66.

6. Reeder, Rob. “Ultrasound Portable Partitioning,” Medical Design Technology, February 2008.

7. Reeder, Rob and Corey Petersen, “8-Channel 12-Bit, 10-50-MSPS Front End: The AD9271—A Revolutionary Solution for Portable Ultrasound,” Analog Dialogue 41, July 2007.

8. ADI AD927x Configuration Tool. For a copy please send an email to highspeed.converters @ analog.com

 

To top